دانلود مقاله ترجمه شده یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس


چطور این مقاله مهندسی کامپیوتر و IT را دانلود کنم؟

فایل انگلیسی این مقاله با شناسه 2005319 رایگان است. ترجمه چکیده این مقاله مهندسی کامپیوتر و IT در همین صفحه قابل مشاهده است. شما می توانید پس از بررسی این دو مورد نسبت به خرید و دانلود مقاله ترجمه شده اقدام نمایید

قیمت :
880,000 ریال
شناسه محصول :
2005319
سال انتشار:
2015
حجم فایل انگلیسی :
676 Kb
حجم فایل فارسی :
554 کیلو بایت
نوع فایل های ضمیمه :
Pdf+Word
کلمه عبور همه فایلها :
www.daneshgahi.com

عنوان فارسي

یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس

عنوان انگليسي

An Efficient Radio Access Control Mechanism for Wireless Network-On-Chip Architectures

نویسنده/ناشر/نام مجله

Journal of Low Power Electronics and Applications

این مقاله چند صفحه است؟

این مقاله ترجمه شده مهندسی کامپیوتر و IT شامل 19 صفحه انگلیسی به صورت پی دی اف و 19 صفحه متن فارسی به صورت ورد تایپ شده است

چکیده فارسی


چکیده

سیستم­ های روی تراشه­ ی (SoCs) مدرن امروزه دارای صدها هسته هستند، و پیش ­بینی می ­شود که این تعداد در سال 2020 به هزاران خواهد رسید. از آنجا که تعداد المان­ های ارتباطی رو به افزایش است، نیاز به یک زیرساخت ارتباطی مطمئن و پایا است. به این دلیل اینکه هندسه ­ی تکنولوژی به مقیاس زیرمیکرون رسیده است؛ تاخیر و مصرف توان اتصالات آن­ ها به یک گلوگاه بزرگ تبدیل شده است. مدل طراحی شبکه روی تراشه (NoC)، بر مبنای یک مکانیسم تبادل بسته­ ی ماژولار، می­ تواند بسیاری از مشکلات ارتباط روی تراشه، از قبیل محدودیت ­های کارآیی اتصالات طویل و تجمیع تعداد زیادی هسته ­ها روی یک تراشه، را حل می ­کند. اخیرا، تکنولوژی ­های ارتباطی جدید بر مبنای مفهوم NoC با هدف بهبود محدودیت ­های مقیاس ­پذیری معماری­ های مبتنی بر NoC بی ­سیم به وجود آمده ­اند. در میان آن ­ها،NoC های بی­ سیم (WiNoCs) از رسانه­ ی رادیویی جهت کاهش مشکلات کارآیی و انرژی ارتباطات چند گامه و بلند مدت استفاده می ­کنند. از آنجا که در هر زمان با یک ترانسمیتر می ­توان به یک رسانه­ ی رادیویی دستیابی نمود، به یک مکانیسم کنترل دستیابی رادیویی (RACM) نیاز است. در این مقاله، یک RACM جدید را ارائه می ­دهیم، که این امکان را فراهم می ­آورد که RACMها را هم از نظر کارآیی و هم از نظر انرژی بهبود داد. آزمایش­ ها هم روی سناریوهای واقعی ترافیک و هم روی سناریوهای ساختگی ساخته شده­ اند و کارآیی RACM پیشنهادی را نشان می­ دهند. به طور میانگین، با بکارگیری RACM پیشنهادی به یک معماری WiNoC شناخته شده، یک کاهش 30 درصدی در تاخیر ارتباطات و 25% ذخیره ی انرژی مشاهده شد.

1-مقدمه

جهت غلبه بر تعداد روزافزون هسته هایی که در یک سیستم چندپردازنده ­ای توسعه داده می ­شوند، سیستم­ روی تراشه­ (MPSoC) با سیستم ارتباطی روی تراشه نقشی اساسی ایفا می­ کند. امروزه، مدل طراحی شبکه روی تراشه (NoC) به عنوان قابل قبول­ ترین زیرساخت ارتباطی با دغدغه­ های کارآیی، انرژی و قابل ­اطمینان بودن بسیاری از معماری ­های سیستم چندهسته­ ای درنظر گرفته شده است. یک NoC از چند هسته­ی IP و چند سوئیچ که آن­­ها (هسته­ ها) را با کانال ارتباطی به هم متصل می ­کند تشکیل شده است...

شبکه روی تراشه ارتباطات بی سیم روی تراشه مکانیسم کنترل دستیابی ارزیابی کارآیی :کلمات کلیدی

چکیده انگلیسی

 Abstract

Modern systems-on-chip (SoCs) today contain hundreds of cores, and this number is predicted to reach the thousands by the year 2020. As the number of communicating elements increases, there is a need for an efficient, scalable and reliable communication infrastructure. As technology geometries shrink to the deep submicron regime, however, the communication delay and power consumption of global interconnections become the major bottleneck. The network-on-chip (NoC) design paradigm, based on a modular packet-switched mechanism, can address many of the on-chip communication issues, such as the performance limitations of long interconnects and integration of large number of cores on a chip. Recently, new communication technologies based on the NoC concept have emerged with the aim of improving the scalability limitations of conventional NoC-based architectures. Among them, wireless NoCs (WiNoCs) use the radio medium for reducing the performance and energy penalties of long-range and multi-hop communications. As the radio medium can be accessed by a single transmitter at a time, a radio access control mechanism (RACM) is needed. In this paper, we present a novel RACM, which allows one to improve both the performance and energy figures of the WiNoC. Experiments, carried out on both synthetic and real traffic scenarios, have shown the effectiveness of the proposed RACM. On average, a 30% reduction in communication delay and a 25% energy savings have been observed when the proposed RACM is applied to a known WiNoC architecture

Keywords: network-on-chip on-chip wireless communication access control mechanism performance evaluation
Skip Navigation Linksصفحه اصلی > دپارتمان ها > دپارتمان فنی و مهندسی > مهندسی کامپیوتر و IT > مقاله های مهندسی کامپیوتر و IT و ترجمه فارسی آنها > یک مکانیسم کنترل دستیابی رادیویی کارآ برای معماری برای معماری های شبکه روی تراشه ی وایرلس
کتابخانه الکترونیک
دانلود مقالات ترجمه شده
جستجوی مقالات
با انتخاب رشته مورد نظر خود می توانید مقالات ترجمه شده آن رو به صورت موضوع بندی شده مشاهده نمایید